Progettare in VHDL usando GHDL e GTKWave

  • 0
Se state cercando qualcosa per progettare in VHDL in ambiente GNU/Linux, GHDL e GTKWave sono un'ottima soluzione.
Diamo un'occhiata alle procedure di installazione e di compilazione.

Per installare i tool basta semplicemente digitare dal terminale:
sudo apt-get install ghdl gtkwave

Il comando per compilare il sorgente VHDL (con estensione .vhd) è:
ghdl -a --std=02 --ieee=synopsys source.vhd

Per poter testare qualsiasi progetto VHDL è necessario creare un testbench apposito.

Il comando per compilare il testbench (con estensione .vhd) è lo stesso illustrato in precedenza:
ghdl -a --std=02 --ieee=synopsys testbench.vhd

Ora è necessario creare il file eseguibile del testbench con il comando:
ghdl -e --std=02 --ieee=synopsys tb
dove tb è il nome dell'entity del file testbench.vhd.

In conclusione bisogna creare l'eseguibile del testbench con estensione .vcd da dare in pasto a GTKWave:
ghdl -r --std=02 --ieee=synopsys tb --vcd=testbench.vcd

Per poter visualizzare graficamente l'andamento dei segnali del circuito realizzato:
gtkwave testbench.vcd

Nessun commento:

Posta un commento